Welcome![Sign In][Sign Up]
Location:
Search - fpga LCD

Search list

[OtherLCD128FPGA

Description: 用FPGA来控制SMG12864液晶,用并口来控制.FPGA采用EPC144cycloneII,采用ST7920控制器的LCD128*64.-Using FPGA to control SMG12864 LCD with parallel port to control. FPGA using EPC144cycloneII, using the ST7920 controller LCD128* 64.
Platform: | Size: 4876288 | Author: 李恺君 | Hits:

[SCM20090903FPGA

Description: 传统的波形发生器采用模拟技术的方法,这种方法构成的波形发生器电路结构复杂,仅能产生正弦波、方波、锯齿波和三角波等几种简单波形。而现在在高科技领域,我们需要的可能是一些任意波形,如在保密雷达发波等军事方面和地震波形、汽车碰撞波形等模拟仿真应用方面。任意波形发生器现在被广泛用于自动控制系统、振动激励、仪器仪表领域。我国目前在这方面还比较落后,特别是在用DDS技术实现任意波形发生器方面。本课题我们打算用DDS技术基于FPGA核心板设计一个任意波形发生器。该仪器我们用LabVIEW来写的控制面板实现与FPGA的通信,实现任意波形数据的采集,并具有良好的人机交互界面。用液晶显示屏显示波形的幅度和频率。用4×4键盘控制相关波形的输出。用AD和低通滤波电路实现数电到模电的转换-The traditional waveform generator adopts the method of simulation technology, this kind of method constitute the waveform generator circuit structure is complex, can only produce sine and square-wave, sawtooth wave and triangular several simple waveform. And now in the high-tech fields, we may need some arbitrary waveform is, as in LeiDaFa confidential military aspects and seismic waves, automobile collisions wave wave simulation application. Arbitrary waveform generator is now widely used in automatic control system, vibration, instruments field. At present in this respect, especially in still lag behind with arbitrary waveform generator DDS technology. This task we intend to use DDS technology based on FPGA core board design an arbitrary waveform generator. The instrument to write with LabVIEW, we realize the control panel and FPGA communication, realizing arbitrary waveform data acquisition, and has good human-machine interface. With LCD display wave amplitude and frequency. Use 4
Platform: | Size: 532480 | Author: zhangying | Hits:

[VHDL-FPGA-Verilogxianshi_lcd_0

Description: 实现了lcd1602显示的功能,可以在lcd上显示“年”字,有利于初学者学习lcd在fpga上显示,采用文本编辑的,利用quartus ii 702-Achieved lcd1602 display function, you can lcd display " " The word will help beginners learn lcd display in the fpga, using a text editor, using quartus ii 702
Platform: | Size: 191488 | Author: ad | Hits:

[VHDL-FPGA-VerilogJDL12864LCD

Description: 基于Actel A3P030 FPGA,液晶采用JDL12864串行接口,时钟48MHz-Based on Actel A3P030 FPGA, LCD using JDL12864 serial interface, clock 48MHz
Platform: | Size: 4096 | Author: songxin | Hits:

[VHDL-FPGA-VerilogVHDL

Description: Program VHDL is scan keypad matrix 3*3 display to LCD
Platform: | Size: 659456 | Author: pokamon | Hits:

[VHDL-FPGA-Veriloglcd

Description: 使用FPGA控制LCD1602,采用VHDL语言描述-Using the FPGA control LCD1602, use of VHDL language to describe the
Platform: | Size: 2048 | Author: 任云杰 | Hits:

[Other Embeded program1602_LCD_driver_for_ATmega162

Description: LCD driver for ATmega 162.
Platform: | Size: 253952 | Author: randok | Hits:

[Graph programtmt070_16bpp

Description: 基于MINI2440开发析的TFT LCD 驱动程序。可驱动800*480的TFT-MINI2440 developed based on analysis of the TFT LCD driver. To drive 800* 480 TFT
Platform: | Size: 478208 | Author: 在路上 | Hits:

[VHDL-FPGA-Veriloglcm_st7920

Description: EP2C8 FPGA下的ST7920控制器LCD的程序.-EP2C8 FPGA under the ST7920 controller LCD process.
Platform: | Size: 1062912 | Author: | Hits:

[VHDL-FPGA-Veriloglcd2vga_um

Description: LCD加速芯片,用fpga+sdram做的-LCD acceleration chip, using fpga+ sdram do
Platform: | Size: 980992 | Author: 李锦龙 | Hits:

[VHDL-FPGA-VerilogFPGA-LCDdriver

Description: EDA技术相关文章:基于FPGA的LCD驱动芯片设计-EDA technology-related articles: FPGA-based LCD driver IC design
Platform: | Size: 490496 | Author: 王恒毅 | Hits:

[VHDL-FPGA-VerilogbasedonFPGALCD

Description: 基于FPGA的LCD接口程序代码,可以在xilinx或altera开发板运行-FPGA-based LCD interface program code, you can run the xilinx or altera Development Board
Platform: | Size: 623616 | Author: 珍爱一生 | Hits:

[VHDL-FPGA-Verilogclock

Description: FPGA用lcd显示屏实现的24小时的计时器-FPGA with the lcd screen to achieve a 24-hour timer
Platform: | Size: 598016 | Author: wang wen tao | Hits:

[VHDL-FPGA-VerilogLCDfcout

Description: FPGA实现LCD显示的频率计,芯片为cyclone-FPGA realization of the frequency meter LCD display chip for the cycloneII
Platform: | Size: 2251776 | Author: wang wen tao | Hits:

[VHDL-FPGA-Verilog12

Description: 用FPGA进行等精度频率和相位差测量的程序,本程序是在EPEC6Q240C8下的程序-Carried out with the FPGA such as the frequency and phase measurement precision of the procedure, this procedure was the procedure under the EPEC6Q240C8
Platform: | Size: 90112 | Author: billy1988 | Hits:

[VHDL-FPGA-VerilogLCD12864

Description: FPGA 12864液晶显示屏 汉字显示实例教程-FPGA 12864 LCD Chinese display examples tutorial
Platform: | Size: 679936 | Author: 苏有路 | Hits:

[VHDL-FPGA-Verilogbin_copy

Description: FPGA驱动12864汉字显示源代码,12864是16个引脚的带字库的液晶显示模块-12864 Chinese character display FPGA-driven source code, 12864 is a 16-pin LCD display module with a font
Platform: | Size: 3276800 | Author: tdgyh | Hits:

[OthercycloneIII_3c120_dev_niosII_standard

Description: 该源码是关于FPGA片上系统sopc的nios处理器设计,他实现了led,lcd以及Internet网络各种功能,源码已经测试通过,读者可以使用-The source is on the FPGA chip on the system sopc the nios processor design, he realized the led, lcd, and Internet networking features, source code has been tested, the reader can use
Platform: | Size: 39700480 | Author: 雪晨 | Hits:

[Special EffectsOV7660TFT

Description: OV7660程序和LCD驱动显示图像。OV7660手册和引脚链接图-OV7660 procedures and LCD driver display the image. OV7660 manual, and links to map pins
Platform: | Size: 609280 | Author: 绿无涯 | Hits:

[VHDL-FPGA-VerilogTFT

Description: 3.5寸TFT FPGA驱动程序,2C8驱动扫描TFT屏实现色条-TFT DV for FPGA
Platform: | Size: 540672 | Author: zusen | Hits:
« 1 2 3 4 5 6 7 89 10 11 12 13 ... 28 »

CodeBus www.codebus.net